CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - RTL verilog

搜索资源列表

  1. Principles-of-Verifiable-RTL-Design

    0下载:
  2. 本书主要以HDL(verilog/vhdl)为例,详细讲述了在IC DESIGN FLOW中 Verification 以及Test的设计思想、方法和技巧,涵概了测试的各个方面, 是目前进行IC设计的同仁们最为推荐的一本宝典-(Kluwer) Principles of Verifiable RTL Design (2nd Ed.)
  3. 所属分类:Project Design

    • 发布日期:2017-05-15
    • 文件大小:3908276
    • 提供者:周励
  1. RTL

    0下载:
  2. Booth radix2 MAC UNIT In verilog
  3. 所属分类:Other systems

  1. 华为verilog编程规范

    0下载:
  2. 华为verilog编程规范,本规范规定了Proverilog编码规范,即采用verilog代码设计时的代码书写规范。本规范适用于逻辑芯片开发中使用verilog语言作为RTL级设计语言。
  3. 所属分类:咨询培训

  1. rtl

    0下载:
  2. 通过verilog实现pc串口和fpga的双向通信。代码是老外写的,非常严谨-the verilog code comnunicate with the pc by serial port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:8970
    • 提供者:yuxiong
  1. rtl_wangjiangxing

    0下载:
  2. ecc椭圆算法RTL,verilog经过验证-ecc verilog
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-25
    • 文件大小:14997
    • 提供者:zhaop
  1. RTL

    0下载:
  2. HMI产品上使用的将黑白屏提升分辨率变为彩色屏的verilog RTL code-verilog RTL code for convert Black/White HMI to high resolution color
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:8214
    • 提供者:huanqing
  1. rs_decoder_31_19_6.tar

    0下载:
  2. RS Decoder RTL verilog Code
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:14268
    • 提供者:richman
  1. lcd1.tar

    0下载:
  2. LCD Control RTL Verilog Code
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:193962
    • 提供者:richman
  1. spimaster.tar

    0下载:
  2. SPI Interface Master Control RTL Verilog Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2554559
    • 提供者:richman
  1. spi_boot-rel_3_1_rev_C.tar

    0下载:
  2. SPI Boot Interface Control RTL Verilog Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:185339
    • 提供者:richman
  1. rtl

    0下载:
  2. SPI verilog RTL code
  3. 所属分类:Applications

    • 发布日期:2017-04-15
    • 文件大小:4718
    • 提供者:tana659i480
  1. rsencoder.tar

    0下载:
  2. RS Encoder RTL verilog Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:3909
    • 提供者:richman
  1. ultimate_crc.tar

    0下载:
  2. Ultimate CRC Check RTL Verilog Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:231070
    • 提供者:richman
  1. i2c_master

    0下载:
  2. verilog i2c master rtl+testbench 转自特权同学(verilog i2c master rtl+testbench)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:3072
    • 提供者:Teray
  1. i2c_slave

    0下载:
  2. Verilog i2c slave rtl + testbench 仿真ok(Verilog i2c slave rtl + testbench)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:8192
    • 提供者:Teray
  1. BCH_VLSI

    0下载:
  2. 使用HLS完成BCH编码的运算通路的设计,纯组合逻辑,对于65nm工艺可跑上1GHz。已经组合逻辑分为了多个部分,可在每一个部分之间插流水线。 附上可综合的纯RTL Code以及C++代码,以及Modelsim仿真。 可通过我的优化选项来学习如何优化HLS工具生产的代码。(BCH Encoder realized using HLS tool. Combinational logic.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:14505984
    • 提供者:蔡宇杰
  1. eetop.cn_simple_spi

    0下载:
  2. spi 模块代码 RTL verilog(spi rtl code)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:43008
    • 提供者:harrymao
  1. rtl

    0下载:
  2. 基于S10新品的2x2矩阵乘模块,附带双精度的乘法,除法ip核(2x2 matrix multiplication module based on S10 new product, with double precision multiplication, division IP kernel)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:590848
    • 提供者:Rdddd
  1. 8051

    0下载:
  2. The resource code of The 8051 microcontroller is member of MCS-51 family, originally designed in the 1980's by Intel. The 8051 has gained great popularity since its introduction and is estimated it is used in a large percentage of all embedded system
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:5686272
    • 提供者:caop
  1. rtl

    1下载:
  2. 实现AD7606数据采集,基于xilinx的6系列(Realization of AD7606 data acquisition)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:17408
    • 提供者:歌不尽江湖
« 1 2 3 45 6 7 8 9 »
搜珍网 www.dssz.com